High Level Characterization and Optimization of Switched-Current Sigma-Delta Modulators with VHDL-AMS

نویسندگان

  • A. Fakhfakh
  • N. Ksentini
  • M. Loulou
  • N. Masmoudi
  • J. J. Charlot
چکیده

Today, design requirements are extending more and more from electronic (analogue and digital) to multidiscipline design. These current needs imply implementation of methodologies to make the CAD product reliable in order to improve time to market, study costs, reusability and reliability of the design process. This paper proposes a high level design approach applied for the characterization and the optimization of Switched-Current SigmaDelta Modulators. It uses the new hardware description language VHDL-AMS to help the designers to optimize the characteristics of the modulator at a high level with a considerably reduced CPU time before passing to a transistor level characterization. Keywords—high level design, optimization, switched-Current Sigma-Delta Modulators, VHDL-AMS.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

VHDL-AMS models for continuous-time Delta–Sigma modulators

Delta-Sigma modulation using switched capacitor (SC) techniques is an increasingly popular means of performing A/D (or D/A) conversion in modern applications. The main problem with SC-techniques though is the limitation of the maximum sampling frequency. For wideband applications such as VDSL a continuous time implementation is a better solution. However, the simulation of continuous–time 16 mo...

متن کامل

Decrease in Hardware Consumption and Quantization Noise of Digital Delta-Sigma Modulators and Implementation by VHDL

A new structure is presented for digital delta-sigma modulator (DDSM). Novel architecture decreases hardware consumption, output quantization noise and spurs in Comparison to previous architectures. In order to reduce the delay, power consumption and increase maximum working frequency, the pipelining technique and the carry skip adder are used. Simulation proposed architecture shows that the qu...

متن کامل

VHDL-AMS Modeling of Continuous-Time Complex Bandpass Delta Sigma Modulator

Abstrac tContinuous-Time delta sigma modulators (CT ∆ΣM), by their nature, are mixed-signal systems. That fact creates a discontinuity in the traditional IC design flow which assumes that “discrete” and “continuous” time domain designs require separate design tools. In this work, we present a top level behavioral approach of modeling CT complex Bandpass (CBP) ∆ΣM using VHDL-AMS language. The CT...

متن کامل

Superconducting Circuits Design Tool: Application to High Frequency Sigma Delta Adc

We present an application tool dedicated to superconducting circuits design. Based on the use of VHDL-AMS language on System Vision software, we developed an innovating approach with top-down methodology to specify the RSFQ circuits. We take the example of a sigma-delta bandpass ADC and show the different processes used to design it. The demonstration shows the complete system in mixed signal l...

متن کامل

VHDL Based Simulation of a Sigma-Delta A/D Converter

The VHDL based mixed-signal event-driven (MixED) simulation method [1] has been shown to allow for effective mixed-signal simulation in a number of useful applications. The range of possible applications is smaller than that of tools such as VHDL-AMS [2,3] or PSpice-AD [4]. However, a number of useful mixed-signal circuits have already been successfully simulated, e.g. an analog inverter with o...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2012